Simulink

出典: フリー百科事典『ウィキペディア(Wikipedia)』
Simulink
Simulink model of a wind turbine
開発元 MathWorks
最新版
2023a / 2023年3月22日 (13か月前) (2023-03-22)
対応OS クロスプラットフォーム[1]
ライセンス プロプライエタリソフトウェア
公式サイト Simulink 製品ページ
テンプレートを表示

Simulink(シミュリンク)はMathWorks社によって開発された、モデリングシミュレーション解析のためのマルチドメインシミュレーション及びダイナミックシステムである。

概要[編集]

MATLABプロダクトファミリ[2]の一つであるが、インストールされているMATLABの構成によってはSimulinkが構成されていない場合もある。

おもなインタフェースはグラフィカルなブロックダイアグラムツールと、カスタマイズ可能なブロックライブラリのセットである。 SimulinkはMATLAB環境によって提供され、MATLABとともに動作する。 Simulinkはマルチドメインシミュレーションおよびデザインのために制御理論デジタル信号処理などの分野で広く使われている。

専門分野ごとにブロックがまとめられたブロックセット (blockset) はMathWorks社によって多数用意されているが、ブロックセットによっては特定のToolboxが必要になることもある。たとえばデジタル信号処理で頻繁に使用するDSP System Toolbox[3]の場合、Signal Processing Toolbox[4]が必須となる。

アドオン製品[編集]

MathWorks社、サードパーティーの多くのハードウェアまたはソフトウェア・プロダクトはSimulinkに利用できる。

コード生成[編集]

MathWorksによる製品のSimulink Coder[5]を利用することで、Simulink上で作成したブロック線図モデルから、システムのリアルタイム実施のために、C言語のコード(Cコード)を自動生成できる。 コードの効率と柔軟性が向上するため、迅速な繰り返しのためのその柔軟性と能力のために、組み込みシステムデザイン作業のための一般的なツールであることに加え、広く使われている。

また、Embedded Coder[6]は組み込みシステム用の効率的なコードを生成する。

そしてアドオンは、テキサス・インスツルメンツなどのマイコンを含む、特定のEmbedded Targetをサポートする。

さらに、MathWorksによるHDL Coder[7]を使用することで、Simulinkのブロック線図モデルおよびStateflowチャートから自動的にVHDLおよびVerilogが生成できる。

関連項目[編集]

脚注[編集]

外部リンク[編集]