Property Specification Language

出典: フリー百科事典『ウィキペディア(Wikipedia)』

Property Specification Language(特性仕様言語、PSL と略記)とは、ハードウェア設計におけるプロパティ(特性)や表明を記述する言語として標準化団体 Accelleraが開発したものである。これにより、プロパティをシミュレートしたり形式的に検証したりできる。2004年9月から、IEEE 1850 ワーキンググループがこの標準化を行ってきた。2005年9月、IEEE 1850 Standard for Property Specification Language (PSL) が発表された。

Property Specification Language は以下のような複数のハードウェア記述言語で使われることを目指している:

外部リンク[編集]